List of Publications for Carl-Mikael Zetterling
(November 2020)

Chronologically ordered, newest publications last.

Journal Articles:

J1. Lundberg, N., Zetterling, C.-M., and Östling, M., Temperature stability of cobalt Schottky contacts on n- and p-type 6H silicon carbide. Applied Surface Science, 73(1-4), p. 316, 1993.

J2. Zetterling, C.-M. and Östling, M., Thermal oxidation of n- and p-type 6H-silicon carbide. Phys. Scripta, T54, p. 291, 1994.

J3. Zetterling, C.-M. and Östling, M., A novel UMOS capacitor test structure for SiC devices. Solid-State Electronics, 39(9), p. 1396, 1996.

J4. Zetterling, C.-M., Östling, M., Nordell, N., Schön, O., and Deschler, M., Influence of growth conditions on electrical characteristics of AlN on SiC. Appl. Phys. Lett., 70(26), p. 3549, 1997.

J5. Zetterling, C.-M., Östling, M., Wongchotigul, K., Spencer, M.G., Tang, X., Harris, C.I., Nordell, N., and Wong, S.S., Investigation of aluminum nitride grown by metal-organic chemical-vapor deposition on silicon carbide. J. Appl. Phys., 82(6), p. 2990, 1997.

J6. Wang, J.J., Lambers, E.S., Pearton, S.J., Östling, M., Zetterling, C.-M., Grow, J.M., Ren, F., and Schul, R.J., Inductively coupled plasma etching of bulk 6H-SiC and thin-film SiCN in NF3 chemistries. J. Vac. Sci. Technol. A 16(4), p. 2204, 1998.

J7. Wang, J.J., Lambers, E.S., Pearton, S.J., Östling, M., Zetterling, C.-M., Grow, J.M., and Ren, F., High rate etching of SiC and SiCN in NF3 inductively coupled plasmas, Solid-State Electronics, 42(5), p. 743, 1998.

J8. Zetterling, C.-M., Dahlquist, F., Lundberg, N., Östling, M., Rottner, K., and Ramberg, L., Junction Barrier Schottky diodes in 6H SiC, Solid-State Electronics, 42(9), p. 1757, 1998.

J9. Wang, J.J., Lambers, E.S., Pearton, S.J., Östling, M., Zetterling, C.-M., Grow, J.M., Ren, F., and Schul, R.J., ICP etching of SiC, Solid-State Electronics, 42(12), p. 2283, 1998.

J10. Zetterling, C.-M., Östling, M., Harris, C.I., Nordell, N., Wongchotigul, K., and Spencer, M.G., Comparison of SiO2 and AlN as gate dielectric for SiC MOS structures, Materials Science Forum, 264-268, p. 877, 1998.

J11. Dahlquist, F., Zetterling, C.-M., Östling, M., and Rottner, K., Junction Barrier Schottky diodes in 4H-SiC and 6H-SiC, Materials Science Forum, 264-268, p. 1061, 1998.

J12. Danielsson, E., Harris, C.I., Zetterling, C.-M., and Östling, M., Thermal stability of sputtered TiN as metal gate on 4H-SiC, Materials Science Forum, 264-268, p. 805, 1998.

J13. Danielsson, E., Breitholtz, B., Zetterling, C.-M., and Östling, M., Simulation study of on-state losses as function of carrier life-time for a GaN/SiC high power HBT design, Phys. Scripta, T79, p. 290, 1999.

J14. Zetterling, C.-M., Östling, M., Harris, C.I., Wood, P.C., and Wong, S.S., UV-ozone precleaning and forming gas annealing applied to wet thermal oxidation of p-type silicon carbide, Materials Science in Semiconductor Processing, 2(1), p. 23, 1999.

J15. Hong, J., Shul, R.J., Zhang, L., Lester, L.F., Cho, H., Hahn, Y.B., Hays, D.C., Jung, K.B., Pearton, S.J., Zetterling, C.-M., and Östling, M., Plasma chemistries for high density plasma etching of SiC, J. Electron. Mat., 28(3), p. 196, 1999.

J16. Wang, L., Huang, J., Li, C., Zou, S., Zheng, Y., Wang, X., Huang, D., Zetterling, C.-M., and Östling, M., A study of optical characteristics of damage in oxygen-implanted 6-SiC, J. Mat. Sci. Lett., 18, p. 979, 1999.

J17. Leerungnawarat, P., Hays, D. C., Cho, H., Pearton, S. J., Strong, R. M., Zetterling, C.-M., and Östling, M., Via-hole etching for SiC, J. Vac. Sci. Technol. B, 17, p. 2050, 1999.

J18. Danielsson, E., Zetterling, C.-M., Östling, M., Breitholtz, B., Linthicum, K., Thomson, D.B., Nam, O.-H., and Davis, R.F., Simulation and electrical characterization of GaN/SiC and AlGaN/SiC heterodiodes, Mat. Sci. Eng. B, 61-62, p. 320, 1999.

J19. Danielsson, E., Zetterling, C.-M., Östling, M., Lee, S.-K., Linthicum, K., Thomson, D. B., Nam, O.-H., and Davis, R. F., Dry etching and metallization schemes in a GaN/SiC heterojunction device process, Materials Science Forum, 338-342, p. 1049, 2000.

J20. Zetterling, C.-M., Östling, M., Yano, H., Kimoto, T., Matsunami, H., Linthicum, K., and Davis, R. F., SiC MISFETs with MBE-grown AlN gate dielectric, Materials Science Forum, 338-342, p. 1315, 2000.

J21. Dahlquist, F., Svedberg, J.-O., Zetterling, C.-M., Östling, M., Breitholtz, B., and Lendenmann, H., A 2.8 kV 2 V forward drop JBS diode with low leakage, Materials Science Forum, 338-342, p. 1179, 2000.

J22. Cho, H., Leerungnawarat, P., Hays, D. C., Pearton, S. J., Chu, S. N. G., Strong, R. M., Zetterling, C.-M., Östling, M., and Ren, F., Ultradeep, low-damage dry etching of SiC, Appl. Phys. Lett., 76(6), p.739, 2000.

J23. Lundberg, N., Östling, M., Zetterling, C.-M., Tägtström, P., and Jansson, U., CVD-based tungsten carbide Schottky Contacts to 6H-SiC for very high-temperature operation, J. Electron. Mat., 29(3), p. 372, 2000.

J24. Leerungnawarat, P., Cho, H., Pearton, S. J., Zetterling, C.-M., and Östling, M., Effect of UV light irradiation on SiC dry etch rates, J. Electron. Mat., 29(3), p. 342, 2000.

J25. Lee, S.-K., Zetterling, C.-M., and Östling, M., Schottky diode formation and characterization of titanium tungsten to n- and p-type 4H silicon carbide, J. Appl. Phys., 87(11), p. 8039, 2000.

J26. Lee, S.-K., Zetterling, C.-M., Östling, M., Palmquist, J.-P., Högberg, H., and Jansson, U., Low resistivity Ohmic titanium carbide contacts to n- and p-type 4H-silicon carbide, Solid-State Electronics, 44(7), p.1179, 2000.

J27. Lee, S.-K., Zetterling, C.-M., Danielsson, E., Östling, M., Palmquist, J.-P., Högberg, H., and Jansson, U., Electrical characterization of TiC Ohmic contacts to aluminum ion implanted 4H-silicon carbide, Appl. Phys. Lett., 77(10), p. 1478, 2000.

J28. Wang, L., Huang, J., Duo, X., Song, Z., Lin, C., Zetterling, C.-M., and Östling, M., Structural and electrical characteristics of oxygen-implanted 6H-SiC, Nucl. Instr. and Meth. B, 169, p. 1, 2000.

J29. Wang, L., Huang, J., Duo, X., Song, Z., Lin, C., Zetterling, C.-M., and Östling, M., Investigation of damage behaviour and isolation effect of n-type 6H-SiC by implantation of oxygen, J. Phys. D, 33, p. 1551, 2000.

J30. Danielsson, E., Zetterling, C.-M., Östling, M., Nikolaev, A., Nikitina, I. P., and Dmitriev, V., Fabrication and characterization of heterojunction diodes with HVPE-grown GaN on 4H-SiC, IEEE Trans. Electron Dev., 48, p.444, 2001.

J31. Lee, S.-K., Zetterling, C.-M., and Östling, M., Schottky barrier height dependence on the metal work function for p-type 4H-silicon carbide, J. Electron. Mat., 30(3), p. 242, 2001.

J32. Danielsson, E., Lee, S.-K., Zetterling, C.-M., and Östling, M., Inductively coupled plasma etch damage in 4H-SiC investigated by Schottky diode characterization, J. Electron. Mat., 30(3), p. 247, 2001.

J33. Cho, H., Lee, K. P., Leerungnawarat, P., Chu, S. N. G., Ren, F., Pearton, S. J., and Zetterling, C.-M., High density plasma via hole etching in SiC, J. Vac. Sci. Technol. A 19(4), p. 1878, 2001.

J34. Lee, S.-K., Zetterling, C.-M., Östling, M., and Moon, B.-H., Electrical characterization of ohmic contacts to 4H-silicon carbide for high power and high temperature operation, J. Korean Physical Society 40(4), p. 572, 2002.

J35. Danielsson, E., Zetterling, C.-M., Östling, M., Forsberg, U., and Janzén, E., Investigation of thermal properties in fabricated 4H-SiC high power bipolar transistors, Materials Science Forum, 389-393, p. 1337, 2002.

J36. Lee, S.-K., Zetterling, C.-M., Östling, M., Åberg, I., Magnusson, M. H., Deppert, K., Wernersson, L.-E., Samuelson, L., and Litwin, A., Reduction of the barrier height and enhancement of tunneling current of titanium contacts using embedded Au nano-particles on 4H- and 6H-silicon carbide, Materials Science Forum, 389-393, p. 937, 2002.

J37. Koo, S.-M., Lee, S.-K., Zetterling, C.-M., Östling, M., Forsberg, U., and Janzén, E., Influence of the trenching effect on the characterization of buried-gate SiC junction field-effect transistors, Materials Science Forum, 389-393, p. 1235, 2002.

J38. Lee, S.-K., Zetterling, C.-M., Östling, M., Palmquist, J.-P., and Jansson, U., Low resistivity ohmic contacts on 4H-silicon carbide for high power and high temperature device applications, Microelectronic Engineering, 60, p.261, 2002.

J39. Danielsson, E., Zetterling, C.-M., Östling, M., Tsvetkov, D., and Dmitriev, V. A., Characterization of heterojunction diodes with hydride vapor phase epitaxy grown AlGaN on 4H-SiC, J. Appl. Phys., 91(4), p. 2372, 2002.

J40. Lee, S.-K., Koo, S.-M., Zetterling, C.-M., and Östling, M., Ohmic contact formation on inductively coupled etched 4H-silicon carbide, J. Electron. Mat., 31(5), p. 340, 2002.

J41. Danielsson, E., Zetterling, C.-M., Östling, M., Linthicum, K., Thomson, D.B., Nam, O.-H., and Davis, R.F., The influence of band offsets on the IV characteristics for GaN/SiC heterojunctions, Solid-State Electronics, 46(6), p. 827, 2002.

J42. Lee, S.-K., Zetterling, C.-M., and Östling, M., Microscopic mapping of specific contact resistances and long-term reliability tests on 4H-silicon carbide using sputtered titanium tungsten contacts for high temperature device applications, J. Appl. Phys., 92(1), p. 253, 2002.

J43. Koo, S.-M., Khartsev, S. I., Zetterling, C.-M., Grishin, A. M., and Östling, M., Ferroelectric Pb(Zr,Ti)O[sub 3]/Al[sub 2]O[sub 3]/4H--SiC diode structures, Appl. Phys. Lett., 81(5), p. 895, 2002.

J44. Koo, S.-M., Lee, S.-K., Zetterling, C.-M., and Östling, M., Electrical characteristics of metal-oxide-semiconductor capacitors on plasma etch-damaged silicon carbide, Solid-State Electronics, 46(9), p. 1375, 2002.

J45. Lee, S.-K., Zetterling, C.-M., Östling, M., Åberg, I., Magnusson, M. H., Deppert, K., Wernersson, L.-E., Samuelson, L., and Litwin, A., Reduction of the Schottky barrier height on silicon carbide using Au nano-particles, Solid-State Electronics, 46(9), p. 1433, 2002.

J46. Koo, S.-M., Domeij, M., Zetterling, C.-M., Östling, M., Forsberg, U., and Janzén, E., Simulation and measurement of switching characteristics of 4H-SiC buried-gate JFETs, Materials Science Forum, 433-436, p. 773, 2003.

J47. Liu, W., Danielsson, E., Zetterling, C.-M., and Östling, M., Electro-thermal simulations and measurement of silicon carbide bipolar transistors, Materials Science Forum, 433-436, p. 781, 2003.

J48. Koo, S.-M., Khartsev, S., Zetterling, C.-M., Grishin, A., and Östling, M., Processing and properties of ferroelectric Pb(Zr,Ti)O-3/silicon carbide field-effect transistor, Integr. Ferroelectr. 57, p. 1221, 2003.

J49. Danielsson, E., Zetterling, C.-M., Domeij, M., Östling, M., Forsberg, U., and Janzén, E., Investigation of thermal properties in fabricated 4H-SiC high power bipolar transistors, Solid-State Electronics, 47, p. 639 (2003).

J50. Koo, S.-M., Zetterling, C.-M., Lee, H.-S., and Östling, M., Combination of JFET and MOSFET devices in 4H-SiC for high-temperature stable circuit operation, Electron. Lett. 39, p. 933 (2003).

J51. Koo, S.-M., Khartsev, S., Zetterling, C.-M., Grishin, A., and Östling, M., Ferroelectric Pb(Zr0.52Ti0.48)/SiC Field Effect Transistor, Appl. Phys. Lett., 83(19), p. 3975, 2003.

J52. Danielsson, E., Domeij, M., Zetterling, C.-M., Östling, M., and Schöner, A., Extrinsic Base Design of SiC Bipolar Transistors, Materials Science Forum, 457-460, p. 1117, 2004.

J53. Liu, W., Zetterling, C.-M., Östling, M., Eriksson, J., Rorsman, N., and Zirath, H., High-Frequency Measurements and Simulations of SiC MESFETs up to 250 °C, Materials Science Forum, 457-460, p. 1209, 2004.

J54. Lee, H.-S., Koo, S.-M., Zetterling, C.-M., Danielsson, E., Domeij, M., and Östling, M., Simulation study of 4H-SiC Junction-gated MOSFETs from 300 K to 773 K, Materials Science Forum, 457-460, p. 1437, 2004.

J55. Koo, S.-M., Zetterling, C.-M., Lee, H.-S., and Östling, M., SiC JMOSFETs for High-Temperature Stable Circuit Operation, Materials Science Forum, 457-460, p. 1445, 2004.

J56. Östling, M., Koo, S.-M., Lee, S.-K., Zetterling, C.-M., and Grishin, A., Thin films in silicon carbide semiconductor devices, Proc. SPIE Int. Soc. Opt. Eng., p. 5774, 5, 2004.

J57. Östling, M., Koo, S.-M., Zetterling, C.-M., Khartsev, S., and Grishin, A., Ferroelectric thin films on silicon carbide for next-generation nonvolatile memory and sensor devices, Thin Solid Films 469-470, p. 444, 2004.

J58. Domeij, M., Danielsson, E., Lee, H.-S., Zetterling, C.-M., and Östling, M., Current Gain of 4H-SiC Bipolar Transistors Including the Effect of Interface States, Materials Science Forum, 483-485, p. 889, 2005.

J59. Lee, H.-S., Domeij, M., Danielsson, E., Zetterling, C.-M., and Östling, M., Electrical Characteristics of 4H-SiC BJTs at Elevated Temperatures, Materials Science Forum, 483-485, p. 897, 2005.

J60. Danielsson, E., Domeij, M., Lee, H.-S., Zetterling, C.-M., Östling, M., Schöner, A., and Hallin, C., A 4H-SiC BJT with an Epitaxially Regrown Extrinsic Base Layer, Materials Science Forum, 483-485, p. 905, 2005.

J61. Domeij, M., Lee, H.-S., Danielsson, E., Zetterling, C.-M., Östling, M., and Schöner, A., Geometrical effects in high current gain 1100-V 4H-SiC BJTs, IEEE Electron Device Letters, vol. 26, p. 743, 2005.

J62. Lee, H.-S., Domeij, M., Zetterling, C.-M., and Östling, M., Investigation of TiW contacts to 4H-SiC Bipolar Junction Devices, Materials Science Forum, 527-529, p. 887, 2006.

J63. Domeij, M., Lee, H.-S., Zetterling, C.-M., Östling, M., and Schöner, A., Current gain dependence in emitter width in 4H-SiC BJTs, Materials Science Forum, 527-529, p. 1425, 2006.

J64. Lee, H.-S., Domeij, M., Zetterling, C.-M., Östling, M., Allerstam, F., and Sveinbjornsson, E.O., 1200-V 5.2-mΩcm2 4H-SiC BJTs with a high common-emitter current gain, IEEE Electron Device Letters, vol. 28, p. 1007, 2007.

J65. Lee, H.-S., Domeij, M., Zetterling, C.-M., Östling, M., and Sveinbjornsson, E.O., A comparative study of surface passivation on SiC BJTs with high current gain, Materials Science Forum, 556-557, p. 631, 2007.

J66. Lee, H.-S., Domeij, M., Zetterling, C.-M., and Östling, M., 4H-SiC power BJTs with high current gain and low on-resistance, Materials Science Forum, 556-557, p. 767, 2007.

J67. Lee, H.-S., Domeij, M., Zetterling, C.-M., Östling, M., Allerstam, F., and Sveinbjornsson, E.O., Surface passivation oxide effects on the current gain of 4H-SiC bipolar junction transistors, Applied Physics Letters, vol. 92, p. 082113, 2008.

J68. Lee, H.-S., Domeij, M., Ghandi, R., Zetterling, C.-M., and Östling, M., High-current-gain SiC BJTs with regrown extrinsic base and etched JTE, IEEE Transactions on Electron Devices, vol. 55, p. 1894, 2008.

J69. Lee, H.-S., Domeij, M., Zetterling, C.-M., Östling, M, Low-forward-voltage-drop 4H-SiC BJTs without base contact implantation, IEEE Transactions on Electron Devices, vol. 55, p. 1907, 2008.

J70. Ghandi, R., Lee, H.-S., Domeij, M., Buono, B., Zetterling, C.-M., and Östling, M., Fabrication of 2700-V 12-mΩcm2 non ion-implanted 4H-SiC BJTs with common-emitter current gain of 50, IEEE Electron Device Letters, vol. 29, p. 1135, 2008.

J71. Ghandi, R., Lee, H.-S., Domeij, M., Zetterling, C.-M., and Östling, M., Backside Nickel Based Ohmic Contacts to n-type Silicon Carbide, Materials Science Forum, 600-603, p. 635, 2009.

J72. Lee, H.-S., Domeij, M., Zetterling, C.-M., Ghandi, R., Östling, M., F. Allerstam, A., and Sveinbjörnsson, E. Ö., 1200 V 4H-SiC BJTs with a Common Emitter Current Gain of 60 and Low On-resistance, Materials Science Forum, 600-603, p. 1151, 2009.

J73. Eriksson, K.G.P., Domeij, M., Lee, H.-S., Zetterling, C.-M., Östling, M., A Simple and Reliable Electrical Method for Measuring the Junction Temperature and Thermal Resistance of 4H-SiC Power Bipolar Junction Transistors, Materials Science Forum, 600-603, p. 1171, 2009.

J74. Ghandi, R., Lee, H.-S., Domeij, M., Buono, B., Zetterling, C.-M., and Östling, M., Implantation-Free Low on-resistance 4H-SiC BJTs with Common-Emitter Current Gain of 50 and High Blocking Capability, Materials Science Forum, 615-617, p. 833, 2009.

J75. Buono, B., Lee, H.-S., Domeij, M., Zetterling, C.-M., and Östling, M., Simulations of open emitter breakdown voltage in SiC BJTs with non implanted JTE, Materials Science Forum, 615-617, p. 841, 2009.

J76. Ghandi, R., Buono, B., Domeij, M., Malm, G., Zetterling, C.-M., and Östling, M., High-Voltage 4H-SiC PiN Diodes With Etched Junction Termination Extension, IEEE Electron Device Letters, vol. 30, p. 1170, 2009.

J77. Esteve, R., Schoner, A., Reshanov, S. A., Zetterling, C.-M., and Nagasawa, H., Comparative study of thermally grown oxides on n-type free standing 3C-SiC (001), J. Appl. Phys., 106(4), p. 044513, 2009.

J78. Esteve, R., Schoner, A., Reshanov, S. A., Zetterling, C.-M., and Nagasawa, H., Advanced oxidation process combining oxide deposition and short postoxidation step for N-type 3C- and 4H-SiC, J. Appl. Phys., 106(4), p. 044514, 2009.

J79. Ghandi, R., Domeij, M., Esteve, R., Buono, B., Schöner, A., Han, J., Dimitrijev, S., Reshanov, S.A., Zetterling, C.-M., and Östling, M., Experimental evaluation of different passivation layers on the performance of 3kV 4H-SiC BJTs, Materials Science Forum, 645-648, p. 661, 2010.

J80. Esteve, R., Schöner, A., Reshanov, S.A., and Zetterling, C.-M., Comparative study of thermal oxides and post-oxidized deposited oxides on n-type free standing 3C-SiC, Materials Science Forum, 645-648, p. 829, 2010.

J81. Buono, B., Ghandi, R., Domeij, M., Malm, G., Zetterling, C.-M., and Östling, M., Temperature Modeling and Characterization of the Current Gain in 4H-SiC Power BJTs, Materials Science Forum, 645-648, p. 1061, 2010.

J82. Buono, B., Ghandi, R., Domeij, M., Malm, B.G., Zetterling, C.-M., and Östling, M., Modeling and Characterization of Current Gain Versus Temperature in 4H-SiC Power BJTs, IEEE Trans. Electron Devices, vol. 57, p. 704, 2010.

J83. Buono, B., Ghandi, R., Domeij, M., Malm, B.G., Zetterling, C.-M., and Östling, M., Influence of Emitter Width and Emitter-Base Distance on the Current Gain in 4H-SiC Power BJTs, IEEE Transactions on Electron Devices, vol.57, p. 2664, 2010.

J84. Esteve, R., Reshanov, S. A., Savage, S., Bakowski, M., Kaplan, W., Persson, S., Schoner, A., and Zetterling, C.-M., Toward 4H-SiC MISFETs Devices Based on ONO (SiO(2)-Si(3)N(4)-SiO(2)) Structures, J. Electrochemical Soc., 158, p. H496, 2011.

J85. Ghandi, R., Buono, B., Domeij, M., Esteve, R., Schoner, A., Jisheng Han, Dimitrijev, S., Reshanov, S.A., Zetterling, C.-M., Östling, M., Surface-Passivation Effects on the Performance of 4H-SiC BJTs, IEEE Trans. Electron Devices, vol. 58, p. 259, 2011.

J86. Ghandi, R., Buono, B., Zetterling, C.-M., Domeij, M., Shayestehaminzadeh, S., and Östling, M., Removal of Crystal Orientation Effects on the Current Gain of 4H-SiC BJTs Using Surface Passivation, IEEE Electron Device Letters, vol. 32, p. 596, 2011.

J87. Buono, B., Ghandi, R., Domeij, M., Malm, B. G., Zetterling, C.-M., and Östling, M., Current gain degradation in 4H-SiC power BJTs, Materials Science Forum, 679-680, p. 702, 2011.

J88. Ghandi, R., Buono, B., Domeij, M., Zetterling, C.-M., and Östling, M., High voltage, low on-resistance 4H-SiC BJTs with improved junction termination extension, Materials Science Forum, 679-680, p. 706, 2011.

J89. Lanni, L., Ghandi, R., Domeij, M., Zetterling, C.-M., Malm, B. G., and Östling, M., Measurements and simulations of lateral PNP transistors in a SiC NPN BJT technology for high temperature integrated circuits, Materials Science Forum, 679-680, p. 758, 2011.

J90. Buono, B., Ghandi, R., Domeij, M., Malm, B. G., Zetterling, C.-M., and Östling, M., Modeling and Characterization of the on-Resistance in 4H-SiC Power BJTs, IEEE Trans. Electron Devices, vol. 58, p. 2081, 2011.

J91. Buchholt, K., Ghandi, R., Domeij, M., Zetterling, C.-M., Lu, J., Eklund, P., Hultman, L., Spetz, and A. Lloyd, Ohmic contact properties of magnetron sputtered Ti3SiC2 on n- and p-type 4H-silicon carbide, Applied Physics Letters, vol. 98, p. 042108, 2011.

J92. Ghandi, R., Buono, B., Domeij, M., Zetterling, C.-M., and Östling, M., High-Voltage (2.8 kV) Implantation-Free 4H-SiC BJTs With Long-Term Stability of the Current Gain, IEEE Trans. Electron Devices, vol. 58, p. 2665, 2011.

J93. Buchholt, K., Eklund, P., Jensen, J., Lu, J., Ghandi, R., Domeij, M., Zetterling, C.-M., Behan, G., Zhang, H., Lloyd Spetz, A., and Hultman, L., Growth and characterization of epitaxial Ti 3GeC 2 thin films on 4H-SiC(0001), Journal of Crystal Growth, vol. 343, p. 133, 2012.

J94. Lanni, L., Ghandi, R., Malm, B.G., Zetterling, C.-M., and Östling, M., Design and characterization of high-temperature ECL-based bipolar integrated circuits in 4H-SiC, IEEE Transactions on Electron Devices, vol. 59, p. 1076, 2012.

J95. Kang, M.-S., Lee, J.-H., Hallén, A., Zetterling, C.-M., Bahng, W., Kim, N.-K., and Koo, S.-M., Metal Work-Function and Doping-Concentration Dependent Barrier Height of Ni-Contacts to 4H-SiC with Metal-Embedded Nano-Particles, Materials Science Forum, 717-720, p. 857, 2012.

J96. Lee, J.-H., Ahn, J.-J., Hallén, A., Zetterling, C.-M., Bahng, W., Kim, N.-K., and Koo, S.-M., Local Anodic Oxidation of Phosporous-Implanted 4H-SiC by Atomic Force Microscopy, Materials Science Forum, 717-720, p. 905, 2012.

J97. Buono, B., Ghandi, R., Domeij, M., Malm, B. G., Zetterling, C.-M., and Östling, M., Investigation of current gain degradation in 4H-SiC power BJTs, Materials Science Forum, 717-720, p. 1131, 2012.

J98. Lanni, L., Ghandi, R., Zetterling, C.-M., Malm, B. G., and Östling, M., Bipolar integrated OR-NOR gate in 4H-SiC, Materials Science Forum, 717-720, p. 1257, 2012.

J99. Zetterling, C.-M., Lanni, L., Ghandi, R., Malm, B. G., and Östling, M., Future high temperature applications for SiC integrated circuits, Phys. Status Solidi C, vol. 9, p. 1647, 2012.

J100. Elahipanah, H., Salemi, A., Buono, B., Zetterling, C.-M., Östling, M., Process Variation Tolerant 4H-SiC Power Devices Utilizing Trench Structures, Materials Science Forum, 740-742, p. 809, 2013.

J101. Lanni, L., Malm, B. G., Zetterling, C.-M., and Östling, M., High-temperature characterization of 4H-SiC bipolar Darlington transistors for low voltage applications, Materials Science Forum, 740-742, p. 966, 2013.

J102. Salemi, A., Elahipanah, H., Buono, B., Zetterling, C.-M., Östling, M., Area-Optimized JTE for 4.5 kV Non Ion-Implanted 4H-SiC BJT, Materials Science Forum, 740-742, p. 974, 2013.

J103. Lanni, L., Malm, B. G., Östling, M., and Zetterling, C.-M., 500 °C Bipolar Integrated OR/NOR Gate in 4H-SiC, IEEE Electron Device Letters, vol. 34, p. 1091, 2013.

J104. Lanni, L., Malm, B. G., Zetterling, C.-M., and Östling, M., A 4H-SiC Bipolar Technology for High-temperature Integrated Circuits, J. Microelectronics and Electronic Packaging, vol. 10, p. 155, 2013.

J105. Xia, J.-H., Martin, D., Suvanam, S.S., Zetterling, C.-M., and Östling, M., Characterization of LaxHfyO Gate Dielectrics in 4H-SiC MOS Capacitor, Materials Science Forum, 778-780, p. 549, 2014.

J106. Smedfors, K., Lanni, L., Östling, M., and Zetterling, C.-M., Stable Ohmic Nickel/Titanium/Aluminium Contacts to 4H-SiC Characterized from -40°C to 500°C, Materials Science Forum, 778-780, p. 681, 2014.

J107. Salemi, A., Buono, B., Hallén, A., Hassan, J., Bergman, J.P., Zetterling, C.-M., and Östling, M., Fabrication of 10 kV PiN Diodes Using On-Axis 4H-SiC, Materials Science Forum, 778-780, p. 836, 2014.

J108. Lanni, L., Malm, B. G., Östling, M., and Zetterling, C.-M., SiC Etching and Sacrificial Oxidation Effects on the Performance of 4H-SiC BJTs, Materials Science Forum, 778-780, p. 1005, 2014.

J109. Lanni, L., Malm, B. G., Östling, M., and Zetterling, C.-M., Lateral p-n-p Transistors and Complementary SiC Bipolar Technology, IEEE Electron Device Letters, vol. 35, p. 428, 2014.

J110. Hedayati, R., Lanni, L., Rodriguez, S., Malm, B. G., Rusu, A., and Zetterling, C.-M., A Monolithic, 500 °C Operational Amplifier in 4H-SiC Bipolar Technology, IEEE Electron Device Letters, vol. 35, p. 693, 2014.

J111. Suvanam, S.S., Lanni, L., Malm, B.G., Zetterling, C.-M., and Hallén, A., Effects of 3 MeV protons on 4H-SiC bipolar devices and integrated OR-NOR gate, IEEE Transactions on Nuclear Science, vol. 61, p. 1772, 2014

J112. Lanni, L., Malm, B. G., Östling, M., and Zetterling, C.-M., Influence of Passivation Oxide Thickness and Device Layout on the Current Gain of SiC BJTs, IEEE Electron Device Letters, vol. 36, p. 11, 2015.

J113. Elahipanah, H., Salemi, A., Zetterling, C.-M., and Östling, M., 5.8-kV Implantation-Free 4H-SiC BJT With Multiple-Shallow-Trench Junction Termination Extension, IEEE Electron Device Letters, vol. 36, p. 168, 2015.

J114. Smedfors, K., Zetterling, C.-M., Östling, Sputtered Ohmic Cobalt Silicide Contacts to 4H-SiC, Materials Science Forum, 821-823, p. 440, 2015.

J115. Suvanam, S.S., Martin, D., Zetterling, C.-M., and Hallen, A., Tailoring the interface between dielectric and 4H-SiC by ion implantation, Materials Science Forum, 821-823, p. 488, 2015.

J116. Salemi, A., Elahipanah, H., Zetterling, C.-M., and Östling, M., Investigation of the breakdown voltage in high voltage 4H-SiC BJT with respect to oxide and interface charges, Materials Science Forum, 821-823, p. 834, 2015.

J117. Elahipanah, H., Salemi, A., Zetterling, C.-M., and Östling, M., Implantation-Free 4H-SiC Bipolar Junction Transistor with Trenched Junction Termination Extension, Materials Science Forum, 821-823, p. 838, 2015.

J118. Kargarrazi, S., Lanni, L., and Zetterling, C.-M., Design and Characterization of 500 °C Schmitt Trigger in 4H-SiC, Materials Science Forum, 821-823, p. 897, 2015.

J119. Lanni, L., Malm, B. G., Östling, M., and Zetterling, C.-M., ECL-based SiC logic circuits for extreme temperatures, Materials Science Forum, 821-823, p. 910, 2015.

J120. Fashandi, H., Andersson, M., Eriksson, J., Lu, J., Smedfors, K., Zetterling, C.-M., Spetz, A. Lloyd, Eklund, P., Single-step synthesis process of Ti3SiC2 ohmic contacts on 4H-SiC by sputter-deposition of Ti, Scripta Materialia, vol. 99, p. 53, 2015.

J121. Zetterling, C.-M., Integrated circuits in silicon carbide for high-temperature applications, MRS Bulletin, vol. 40, p. 431, 2015.

J122. Kargarrazi, S., Lanni, L., Saggini, S., Rusu, A., and Zetterling, C.-M., 500 °C Bipolar SiC Linear Voltage Regulator, IEEE Trans. Electron Devices, vol. 62, p. 1953, 2015.

J123. Salemi, A., Elahipanah, H., Zetterling, C.-M., and Östling, M., Optimal Emitter Cell Geometry in High Power 4H-SiC BJTs, IEEE Electron Device Letters, vol. 36, p. 1069, 2015.

J124. Kargarrazi, S., Lanni, L., and Zetterling, C.-M., A study on positive-feedback configuration of a bipolar SiC high temperature operational amplifier, Solid-State Electronics, vol. 116, p. 33, 2016.

J125. Hedayati, R., Lanni, L., Rusu, A., and Zetterling, C.-M., Wide Temperature Range Integrated Bandgap Voltage References in 4H–SiC, IEEE Electron Device Letters, vol. 37, p. 146, 2016.

J126. Nagatsuma, H., Kuroki, S.-I., De Silva, M., Ishikawa, S., Maeda, T., Sezaki, H., Kikkawa, T., Östling, M., and Zetterling, C.-M., 4H-SiC nMOSFETs with As-doped S/D and NbNi silicide ohmic contacts, Materials Science Forum, 858, p. 573, 2016.

J127. Kuroki, S.-I., Nagatsuma, H., De Silva, M., Ishikawa, S., Maeda, T., Sezaki, H., Kikkawa, T., Makino, T., Ohshima, T., Östling, M., and Zetterling, C.-M., Characterization of 4H-SiC nMOSFETs in Harsh Environments; High-Temperature and High Gamma-Ray Radiation, Materials Science Forum, 858, p. 864, 2016.

J128. Tian, Y., Lanni, L., Rusu, A., and Zetterling, C.-M., A 500 °C Monolithic SiC BJT Latched Comparator, Materials Science Forum, 858, p. 921, 2016.

J129. Salemi, A., Elahipanah, H., Zetterling, C.-M., and Östling, M., Geometrical Effect Dependency on the On-State Characteristics in 5.6 kV 4H-SiC BJTs, Materials Science Forum, 858, p. 958, 2016.

J130. Elahipanah, H., Salemi, A., Zetterling, C.-M., and Östling, M., Modification of Etched Junction Termination Extension for the High Voltage 4H-SiC Power Devices, Materials Science Forum, 858, p. 978, 2016.

J131. Tian, Y., Lanni, L., Rusu, A., and Zetterling, C.-M., Silicon Carbide Fully Differential Amplifier Characterized Up to 500 °C, IEEE Trans. Electron Devices, vol. 63, p. 2242, 2016.

J132. Hedayati, R., Lanni, L., Malm, B.G., Rusu, A., and Zetterling, C.-M., A 500 °C 8-b Digital-to-Analog Converter in Silicon Carbide Bipolar Technology, IEEE Trans. Electron Devices, vol. 63, p. 3445, 2016.

J133. Hedayati, R. and Zetterling, C.-M., Material Aspects of Wide Temperature Range Amplifier Design in SiC Bipolar Technologies, Journal of Materials Research, vol. 31, p. 2928, 2016.

J134. Elahipanah, H., Salemi, A., Zetterling, C.-M., and Östling, M., Intertwined Design: A Novel Lithographic Method to Realize Area Efficient High-Voltage SiC BJTs and Darlington Transistors, IEEE Trans. Electron Devices, vol. 63, p. 4366, 2016.

J135. Hou, S., Hellström, P.-E., Zetterling, C.-M., and Östling, M., 550 °C 4H-SiC p-i-n Photodiode Array With Two-Layer Metallization, IEEE Electron Device Letters, vol. 37, p. 1594, 2016.

J136. Zetterling, C.-M., Hallen, A., Hedayati, R., Kargarrazi, S., Lanni, L., Malm, B.G., Mardani, S., Norstrom, H., Rusu, A., Suvanam, S.S., Tian, Y., and Östling, M., Bipolar integrated circuits in SiC for extreme environment operation, Semiconductor Science and Technology, vol. 32, p. 034002, 2017.

J137. Suvanam, S.S., Kuroki, S.I., Lanni, L., Hedayati, R., Ohshima, T., Makino, T., Hallén, A., and Zetterling, C.-M., High Gamma Ray Tolerance for 4H-SiC Bipolar Circuits, IEEE Transactions on Nuclear Science, vol. 64, p. 852, 2017

J138. Salemi, A., Elahipanah, H., Zetterling, C.-M., and Östling, M., A Comprehensive Study on the Geometrical Effects in High-Power 4H–SiC BJTs, IEEE Trans. Electron Devices, vol. 64, p. 882, 2017.

J139. Elahipanah, H., Asadollahi, A., Ekström, M., Salemi, A., Zetterling, C.-M., and Östling, M., A Wafer-Scale Ni-Salicide Contact Technology on n-Type 4H-SiC, J. Solid State Science and Technology, vol 6, p. 197, 2017.

J140. Ekström, M., Khartsev, S., Östling, M. and Zetterling, C.-M., Integration and High-Temperature Characterization of Ferroelectric Vanadium-Doped Bismuth Titanate Thin Films on Silicon Carbide, J. Electronic Materials, vol. 46, p. 4478, 2017.

J141. Salemi, A., Elahipanah, H., Zetterling, C.-M., and Östling, M., 10+ kV Implantation-Free 4H-SiC PiN Diodes, Materials Science Forum, 897, p. 423, 2017.

J142. Suvanam, S.S., Lanni, L., Malm, B.G., Zetterling, C.-M., and Hallén, A., Total Dose Effects on 4H-SiC Bipolar Junction Transistors, Materials Science Forum, 897, p. 579, 2017.

J143. Hou, S., Hellström, P.-E., Zetterling, C.-M., and Östling, M., 4H-SiC PIN Diode as High Temperature Multifunction Sensor, Materials Science Forum, 897, p. 630, 2017.

J144. Kuroki, S.-I., Kurose, T., Nagatsuma, H., Ishikawa, S., Maeda, T., Sezaki, H., Kikkawa, T., Makino, T., Ohshima, T., Östling, M., and Zetterling, C.-M., 4H-SiC Pseudo-CMOS Logic Inverters for Harsh Environment Electronics, Materials Science Forum, 897, p. 669, 2017.

J145. Hedayati, R., Lanni, L., Shakir, M., Salemi, A., and Zetterling, C.-M., High Temperature Bipolar Master-Slave Comparator and Frequency Divider in 4H-SiC Technology, Materials Science Forum, 897, p. 681, 2017.

J146. Tian, Y. and Zetterling, C.-M., A Fully Integrated Silicon-Carbide Sigma–Delta Modulator Operating up to 500 °C, IEEE Trans. Electron Devices, vol. 64, p. 2782, 2017.

J147. Tian, Y., Hedayati, R., and Zetterling, C.-M., SiC BJT Compact DC Model With Continuous- Temperature Scalability From 300 to 773 K, IEEE Trans. Electron Devices, vol. 64, p. 3588, 2017.

J148. Elahipanah, H., Kargarrazi, S., Salemi, A., Östling, M., and Zetterling, C.-M., 500 °C High Current 4H-SiC Lateral BJTs for High-Temperature Integrated Circuits, IEEE Electron Device Letters, vol. 38, p. 1429, 2017.

J149. Salemi, A., Elahipanah, H., Jacobs, K., Zetterling, C.-M., and Östling, M., 15 kV-Class Implantation-Free 4H-SiC BJTs With Record High Current Gain, IEEE Electron Device Letters, vol. 39, p. 63, 2018.

J150. Hou, S., Hellström, P.-E., Zetterling, C.-M., and Östling, M., Scaling and Modeling of High Temperature 4H-SiC p-i-n Photodiodes, IEEE J. Electron Devices Society, vol. 6, p. 139, 2018.

J151. Kargarrazi, S., Elahipanah, H., Rodriguez, S., and Zetterling, C.-M., 500 °C, High Current Linear Voltage Regulator in 4H-SiC BJT Technology, IEEE Electron Device Letters, vol. 39, p. 548, 2018.

J152. Shakir, M., Hou, S., Malm, B.G., Östling, M., and Zetterling, C.-M., A 600 °C TTL-Based 11-Stage Ring Oscillator in Bipolar Silicon Carbide Technology, IEEE Electron Device Letters, vol. 39, p. 1540, 2018.

J153. Ekström, M., Hou, S., Elahipanah, H., Salemi, A., Östling, M., and Zetterling, C.-M., Low Temperature Ni-Al Ohmic Contacts to P-Type 4H-SiC Using Semi-Salicide Processing, Materials Science Forum, 924, p. 389, 2018.

J154. Kajihara, J., Kuroki, S.-I., Ishikawa, S., Maeda, T., Sezaki, H., Makino, T., Ohshima, T., Östling, M., and Zetterling, C.-M., 4H-SiC pMOSFETs with Al-Doped S/D and NbNi Silicide Ohmic Contacts, Materials Science Forum, 924, p. 423, 2018.

J155. Salemi, A., Elahipanah, H., Zetterling, C.-M., and Östling, M., Conductivity Modulated Ultra-High Voltage Implantation-Free 4H-SiC PiN Diodes, Materials Science Forum, 924, p. 568, 2018.

J156. Shakir, M., Elahipanah, H., Hedayati, R., and Zetterling, C.-M., Electrical Characterization of Integrated 2-Input TTL NAND Gate at Elevated Temperature, Fabricated in Bipolar SiC-Technology, Materials Science Forum, 924, p. 958, 2018.

J157. Kurose, T., Kuroki, S.-I., Ishikawa, S., Maeda, T., Sezaki, H., Makino, T., Ohshima, T., Östling, M., and Zetterling, C.-M., Low-Parasitic-Capacitance Self-Aligned 4H-SiC nMOSFETs for Harsh Environment Electronics, Materials Science Forum, 924, p. 971, 2018.

J158. Kargarrazi, S., Elahipanah, H., Saggini, S., Senesky, D. and Zetterling, C.-M., 500 °C SiC PWM Integrated Circuit, IEEE Transactions on Power Electronics, vol. 34, p. 1997, 2019.

J159. Hou, S., Hellström, P.-E., Zetterling, C.-M., and Östling, M., A 4H-SiC BJT as a Switch for On-Chip Integrated UV Photodiode, IEEE Electron Device Letters, vol. 40, p. 51, 2019.

J160. Ekström, M, Malm, B.G., and Zetterling, C.-M., High Temperature Recessed Channel SiC CMOS Inverters and Ring Oscillators, IEEE Electron Device Letters, vol. 40, p. 670, 2019.

J161. Ishii, T., Kuroki, S.-I., Sezaki, H., Ishikawa, S., Maeda, T., Makino, T., Ohshima, T., Östling, M., and Zetterling, C.-M., Suppression of Short-Channel Effects in 4H-SiC Trench MOSFETs, Materials Science Forum, 963, p. 613, 2019.

J162. Shakir, M., Hou, S., and Zetterling, C.-M., A Monolithic 500 °C D-flip flop Realized in Bipolar 4H-SiC TTL Technology, Materials Science Forum, 963, p. 818, 2019.

J163. Hou, S., Hellström, P.-E., Zetterling, C.-M., and Östling, M., High Temperature High Current Gain IC Compatible Phototransistor, Materials Science Forum, 963, p. 832, 2019.

J164. Inoue, J., Kuroki, S.-I., Ishikawa, S., Maeda, T., Sezaki, H., Makino, T., Ohshima, T., Östling, M., and Zetterling, C.-M., 4H-SiC Trench pMOSFETs for High-Frequency CMOS Inverters, Materials Science Forum, 963, p. 837, 2019.

J165. Shakir, M. Hou, S., Metreveli, A., Rashid, A.U., Mantooth, H.A., and Zetterling, C.-M., 555-Timer and Comparators Operational at 500 °C, IEEE Trans. Electron Devices, vol. 66, p. 3734, 2019.

J166. Shakir, M. Hou, S., Hedayati, R., Malm, B.G., Östling, M., and Zetterling, C.-M., Towards Silicon Carbide VLSI Circuits for Extreme Environment Applications, Electronics, vol. 8, p. 496, 2019.

J167. Roy, S., Rashid, A.U., Abbasi, A., Murphree, R.C., Hossain, M.M., Faruque, A., Metreveli, A., Zetterling, C.-M., Fraley, J., Sparkman, B., and Mantooth, H.A., Silicon Carbide Bipolar Analog Circuits for Extreme Temperature Signal Conditioning, IEEE Trans. Electron Devices, vol. 66, p. 3764, 2019.

J168. Hou, S., Shakir, M., Hellström, P.-E., Malm, B.G., Zetterling, C.-M., and Östling, M., A Silicon Carbide 256 Pixel UV Image Sensor Array Operating at 400 °C, IEEE Journal of the Electron Devices Society, vol. 8, p. 116, 2020.

J169. Ekström, M., Malm, B.G., and Zetterling, C.-M., Ultrafast pulsed I-V and charge pumping interface characterization of low-voltage n-channel SiC MOSFETs, Materials Science Forum, 1004, p. 642, 2020.

Theses, Book Chapters and other:

T1. Zetterling, C.-M., Masters thesis, Karakterisering av ESD-skyddskomponent för en högspänd bipolär process, KTH, Royal Institute of Technology, 1991. (In Swedish)

T2. Zetterling, C.-M., Licentiate thesis, Formation and characterisation of silicon dioxide on silicon carbide (6H-SiC), KTH, Royal Institute of Technology, 1995.

T3. Zetterling, C.-M., Ph.D. thesis, Silicon dioxide and aluminum nitride as gate dielectric for high temperature and high power silicon carbide MOSFETs, KTH, Royal Institute of Technology, 1997.

T4. Zetterling, C.-M., Electrical and transport properties of AlN, in Properties, processing and applications of gallium nitride and related semiconductors, IEE EMIS Datareview series No. 23, p. 40, 1999.

T5. Zetterling, C.-M., Editor, Process Technology for Silicon Carbide Devices, IEE EMIS Processing Series 2, ISBN 0-85296-998-8, 2002.

T6. Zetterling, C.-M., and Östling, M., Advantages of SiC, (Process Technology for Silicon Carbide Devices), p.1, IEE EMIS Processing Series 2, ISBN 0-85296-998-8, 2002.

T7. Sveinbjörnsson, E. Ö., and Zetterling, C.-M., Thermally grown and deposited dielectrics on SiC, (Process Technology for Silicon Carbide Devices), p. 93, IEE EMIS Processing Series 2, ISBN 0-85296-998-8, 2002.

T8. Zetterling, C.-M., Lee, S.-K., and Östling, M., Schottky and ohmic contacts to SiC, (Process Technology for Silicon Carbide Devices), p. 111, IEE EMIS Processing Series 2, ISBN 0-85296-998-8, 2002.

T9. Zetterling, C.-M., Koo, S.-M., and Östling, M., Devices in SiC, (Process Technology for Silicon Carbide Devices), p. 131, IEE EMIS Processing Series 2, ISBN 0-85296-998-8, 2002.

T10. Östling, M., Koo, S.-M., Domeij, M., Danielsson, E., and Zetterling, C.-M., SiC Device Technologies (Encyclopedia of RF and Microwave Engineering, vol 5, Kai Cang Ed.), p. 4613, John Wiley & Sons, Inc., ISBN 0-471-27053-9, 2005.

T11. Carroll, J. and Zetterling, C.-M., Guiding students away from plagiarism / Hjälp studenterna att undvika plagiering, KTH Learning Lab, ISBN 978-91-7415-403-0, 2009.

T12. Zetterling, C.-M., Silicon Carbide High Temperature Electronics - Is This Rocket Science?, Future Trends in Microelectronics: Frontiers and Innovations, Edited by Luiryi, S., Xu, J. and Zaslavsky, A., John Wiley & Sons, 2013.

T13. Zetterling, C.-M. and Lanni, L., Turning to history for high-temperature integrated circuits, Compound Semiconductor, vol. 21, no 1, pp. 50-54, 2015.

Invited Conference Presentations:

IC1. Zetterling, C.-M., Danielsson, E., Östling, M., Breitholtz, B., Linthicum, K., Thomson, D. B., and Davis, R.F., GaN – SiC high power HBTs. 194th Meeting of the Electrochemical Society, 1998, Boston.

IC2. Zetterling, C.-M., Dielectric issues for silicon carbide MOS devices, 29th IEEE Semiconductor Interface Specialists Conference, 1998, San Diego.

IC3. Zetterling, C.-M., Aluminum Nitride as Gate Dielectric for Silicon Carbide MIS Devices, the 7th SiC and related wide gap semiconductor conference, 1998, Kyoto.

IC4. Koo, S.-M., Khartsev, S. I., Zetterling, C.-M., Grishin, A. M., and Östling, M., Towards ferroelectric field effect transistors in 4H-silicon carbide, the fall meeting of the Materials Research Society, 2002, Boston, Materials Research Society, p. K7.6, 742, USA.

IC5. Zetterling, C.-M., Koo, S.-M., Danielsson, E., Liu, W., Lee, S.-K., Domeij, M., Lee, H.-S., and Östling, M., Challenges for High Temperature Silicon Carbide Electronics, the spring meeting of the Materials Research Society, 2003, San Francisco, Materials Research Society, p. C1.4, 764, USA.

IC6. Östling, M., Koo, S.-M., Lee, S.-K., Zetterling, C.-M., and Grishin, Thin films in silicon carbide semiconductor devices, presented at Fifth International Conference on Thin Physics and Applications, Shanghai, 31 May - 2 June, 2004.

IC7. Zetterling, C.-M, Östling, M., Domeij, M.; and Lee, H.-S., Silicon Carbide Power Device Technology, COMMAD06, Australia, 2006.

IC8. Östling, M., Domeij, M., Zaring, C., Konstantinov, K., Ghandi, R., Buono, B., Hallén, A., and Zetterling, C.-M., SiC bipolar power transistors-Design and technology issues for ultimate performance, Mater. Res. Soc. Symp. Proc., vol.1246, p.175, Materials Research Symposium, Spring meeting, April, San Francisco, 2010.

IC9. Zetterling, C.-M., Future applications of SiC, Korean SiC Workshop World Premiere Materials, January 2011.

IC10. Östling, M., Ghandi, R., and Zetterling, C.-M., SiC power devices – Present status, applications and future perspective, 23rd IEEE International Symposium on Power Semiconductor Devices and ICs (ISPSD), p. 10, May 23-26, 2011.

IC11. Zetterling, C.-M. , Lanni, L., Ghandi, R., Malm, B. G., and Östling, M., Future high temperature applications for SiC integrated circuits, 16th Semiconducting and Insulating Materials Conference (SIMC-XVI), 2011.

IC12. Östling, M., Ghandi, R., Buono, B., Lanni, L., Malm, B.G., and Zetterling, C.-M., SiC bipolar devices for high power and integrated drivers, 2011 IEEE Bipolar/BiCMOS Circuits and Technology Meeting (BCTM), p. 227, 2011.

IC13. Zetterling, C.-M., Lanni, L., Ghandi, R., Malm, B. G., and Östling, M., Present and future applications of SiC devices and circuits, Korean SiC Workshop World Premiere Materials, November 2011.

IC14. Östling, M., Ghandi, R.,Malm, B. G., Buono, B., and Zetterling, C.-M., Silicon Carbide Bipolar Power Devices, ECS Fall Meeting, Boston, USA, 2011.

IC15. Zetterling, C.-M., SiC high-temperature electronics – is this rocket science?, 2012 Advanced Research Workshop Future Trends in Microelectronics: Into the Cross Currents, Corsica, France, 2012.

IC16. Zetterling, C.-M., Wide Bandgap Switches for Green Energy, 2012 IEEE Custom Integrated Circuits Conference, San Jose, California, 2012.

IC17. Zetterling, C.-M., Present and Future Applications of Silicon Carbide Devices and Circuits, 2012 IEEE Custom Integrated Circuits Conference, San Jose, California, 2012.

IC18. Zetterling, C.-M., SiC Technologies for High Voltage Devices and Integrated Circuits in Harsh Environments, 2013 BCTM Short Course, Bordeaux, France, 2013.

IC19. Zetterling, C.-M., Future applications of integrated circuits in SiC, International Workshop on Nanodevice Technologies, Hiroshima, Japan, 2015.

IC20. Zetterling, C.-M., Ultimate Limits in High Temperature Operation of Semiconductors, 2015 Advanced Research Workshop Future Trends in Microelectronics: Journey into the Unknown, Mallorca, Spain, 2015.

IC21. Zetterling, C.-M., Working on Venus how to build electronics for a Venus Lander, 2015 Advanced Research Workshop Future Trends in Microelectronics: Journey into the Unknown, Mallorca, Spain, 2015.

IC22. Östling, M., Salemi, A., Elahipanah, H., and Zetterling, C.-M., New developments in the field of SiC based BJTs. Comparison of SiC BJTs with SiC MOSFETs in terms of performance and suitability for various applications, ECSCRM 2016.

IC23. Zetterling, C.-M., SiC Electronics, 14th Meeting of the Venus Exploration Analysis Group, Washington D.C., 2016.

IC24. Zetterling, C.-M., Extreme Environment SiC Integrated Circuits, ICSCRM 2017.

IC25. Zetterling, C.-M., Wide Bandgap Integrated Circuits for High Power Management in Extreme Environments, AACD 2019.

Conference Publications:

C1. Zetterling, C.-M. and Östling, M., Electrical properties of thin oxides for MOSFETs in the poly-Si / SiO2 / 6H silicon carbide system, ESSDERC '93, 1993, Grenoble, France, Editions Frontieres, p. 497.

C2. Zetterling, C.-M. and Östling, M., Redistribution of Aluminum during thermal oxidation of 6H silicon carbide, ICSCRM 1993, Inst. Phys. Conf. Ser. vol 137, p. 263.

C3. Zetterling, C.-M. and Östling, M., Comparison of thermal gate oxides on silicon and carbon face p-type 6H silicon carbide, Diamond, SiC and nitride wide-bandgap semiconductors, 1994, San Francisco, Materials Research Society, vol 339, p. 209.

C4. Zetterling, C.-M., Harris, C.I., Östling, M., and Afanas'ev, V.V., The influence of dry cleaning techniques on thermal oxides grown on 4H and 6H p-type SiC, ICSCRM 1995, Inst. Phys. Conf. Ser. 142, p. 605.

C5. Zetterling, C.-M., Wongchotigul, K., Spencer, M.G., Harris, C.I., Wong, S.S., and Östling, M., Formation and high frequency CV-measurements of aluminum / aluminum nitride / 6H silicon carbide structures, III-Nitride, SiC, and Diamond Materials for Electronic Devices, 1996, San Francisco, Materials Research Society, 423, p. 667.

C6. Zetterling, C.-M., Dahlquist, F., Lundberg, N., Östling, M., Rottner, K., and Ramberg, L., High Voltage Silicon Carbide Junction Barrier Schottky Rectifiers, 1997 IEEE Cornell Conference on Advanced Concepts in High Speed Semiconductor Devices and Circuits, Ithaca, N. Y., 1997, p. 256.

C7. Zetterling, C.-M., Östling, M., Harris, C.I., Nordell, N., Wongchotigul, K., and Spencer, M.G., Comparison of SiO2 and AlN as gate dielectric for SiC MOS structures, International Conference on Silicon Carbide, ICSCRM 1997.

C8. Dahlquist, F., Zetterling, C.-M., Östling, M., and Rottner, K., Junction Barrier Schottky diodes in 4H-SiC and 6H-SiC, ICSCRM 1997.

C9. Danielsson, E., Harris, C.I., Zetterling, C.-M., and Östling, M., Thermal stability of sputtered TiN as metal gate on 4H-SiC, International Conference on Silicon Carbide, ICSCRM 1997.

C10. Wang, J.J., Lambers, E.S., Pearton, S.J., Östling, M., Zetterling, C.-M., Grow, J.M., and Ren, F., ICP etching of SiC, Power Semiconductor Materials and Devices, 1997, Boston, Materials Research Society, vol 483, p. 177.

C11. Zetterling, C.-M., Östling, M., Norin, L., and Jansson, U., High temperature stable titanium carbide Schottky and ohmic contacts to SiC, Wide-bandgap semiconductors for high power, high frequency and high temperature, 1998, San Francisco, Materials Research Society, 512, p. 125.

C12. Wang, J.J., Cho, H., Lambers, E.S., Pearton, S.J., Östling, M., Zetterling, C.-M., Grow, J.M., Ren, F., Schul, R.J., and Han, J., Low bias dry etching of SiC and SiCN in ICP NF3 discharges, Wide-bandgap semiconductors for high power, high frequency and high temperature, 1998, San Francisco, Materials Research Society, 512, p. 507.

C13. Wang, J.J., Hong, J., Lambers, E.S., Pearton, S.J., Östling, M., Zetterling, C.-M., Grow, J.M., Ren, F., and Schul, R.J., Low damage, highly anisotropic dry etching of SiC, High Temperature Electronics Conference, 1998, p. 10, Albuquerque, New Mexico.

C14. Huang, J., Wang, L., Wen, J., Wang, Y., Lin, C., Zetterling, C.-M., and Östling, M., Growth of SiC thin films on (100) and (111) silicon by pulsed laser deposition combined with a vacuum annealing process, 1999, San Francisco, Materials Research Society, 572, p. 207.

C15. Danielsson, E., Zetterling, C.-M., Östling, M., Lee, S.-K., Linthicum, K., Thomson, D. B., Nam, O.-H., and Davis, R. F., Dry etching and metallization schemes in a GaN/SiC heterojunction device process, ICSCRM 1999.

C16. Zetterling, C.-M., Östling, M., Yano, H., Kimoto, T., Matsunami, H., Linthicum, K., and Davis, R. F., SiC MISFETs with MBE-grown AlN gate dielectric, ICSCRM 1999.

C17. Dahlquist, F., Svedberg, J.-O., Zetterling, C.-M., Östling, M., Breitholtz, B., and Lendenmann, H., A 2.8 kV 2 V forward drop JBS diode with low leakage, ICSCRM 1999.

C18. Holm, T., Zetterling, C.-M., Östling, M., High frequency simulation for SiC bipolar transistor and GaN/SiC heterojunction transistor, 5th Symposium on Gigahertz Electronics, 2000, Göteborg, Sweden, p. 331.

C19. Wu, L.-W., Zetterling, C.-M., Östling, M., Physical modeling of self-heating in 4H-SiC MESFETs, 5th Symposium on Gigahertz Electronics, 2000, Göteborg, Sweden, p. 383.

C20. Lee, S.-K., Danielsson, E., Zetterling, C.-M., Östling, M., Palmquist, J.-P., Högberg, H., and Jansson, U., The formation and characterization of epitaxial titanium carbide contacts to 4H-SiC, Wide-bandgap Electronic Devices, 2000, San Francisco, Materials Research Society, p. T6.9, 622, 2001.

C21. Lee, S.-K., Zetterling, C.-M., and Östling, M., Schottky barrier height dependence on the metal work function for p-type 4H-silicon carbide, 2000 Electronic Materials Conference, Denver, USA.

C22. Lee, S.-K., Zetterling, C.-M., and Östling, M., Titanium tungsten (TiW) for Ohmic contacts to n- and p-type 4H-SiC, 2000, Boston, Materials Research Society, p. H7.2, 640, 2001.

C23. Lee, K.-P., Leerungnawarat, P., Pearton, S. J., Ren, F., Chu, S. N. G., and Zetterling, C.-M., Comparison of F2 plasma chemistries for deep etching of SiC, 2000, Boston, Materials Research Society, p. H7.7, 640, 2001.

C24. Lee, S.-K., Zetterling, C.-M., Östling, M., and Moon, B.-H., Electrical characterization of ohmic contacts to 4H-silicon carbide for high power and high temperature operation, the 8th Korean Conference on Semiconductors, 2001, Seoul, Korea.

C25. Zimmermann, U., Danielsson, E., Lee, S.-K., Zetterling, C.-M., and Hallén, A., Metallization schemes for combined unipolar/bipolar SiC process, European workshop on Materials for Advanced Metallization (MAM), 2001, Sigtuna, Sweden.

C26. Lee, S.-K., Koo, S.-M., Zetterling, C.-M., and Östling, M., Ohmic contact formation on inductively coupled plasma (ICP) etched 4H-SiC using sputtered titanium tungsten, 2001 Electronic Materials Conference, Notre Dame, USA.

C27. Danielsson, E., Zetterling, C.-M., Östling, M., Forsberg, U., and Janzén, E., Investigation of thermal properties in fabricated 4H-SiC high power bipolar transistors, ICSCRM 2001.

C28. Lee, S.-K., Zetterling, C.-M., Östling, M., Åberg, I., Magnusson, M. H., Deppert, K., Wernersson, L.-E., Samuelson, L., and Litwin, A., Reduction of the barrier height and enhancement of tunneling current of titanium contacts using embedded Au nano-particles on 4H- and 6H-silicon carbide, ICSCRM 2001.

C29. Koo, S.-M., Lee, S.-K., Zetterling, C.-M., Östling, M., Forsberg, U., and Janzén, E., Influence of the trenching effect on the characterization of buried-gate SiC junction field-effect transistors, ICSCRM 2001.

C30. Uneus, L., Lee, S.-K., Zetterling, C.-M., Ekedahl, L.-G., Lundström, I., Östling, M., and Lloyd Spetz, A., Measurement on linear TLM structures with TiW/Ti/Pt contacts for corrosive and high temperature applications, ICSCRM 2001.

C31. Liu, W., Zetterling, C.-M., and Östling, M., Electrothermal Simulation of 4H-SiC RF Power MESFETs, GigaHertz 2001, Lund, Sweden, Nov. 2001.

C32. Koo, S.-M., Lee, S.-K., Zetterling, C.-M., and Östling, M., Metal-oxide-semiconductor structures in inductively coupled plasma etch damaged 6H- and 4H-SiC, 32nd IEEE Semiconductor Interface Specialists Conference, 2001, Washington D.C., USA.

C33. Danielsson, E., Zetterling, C.-M., Domeij, M., Östling, M., Forsberg, U., and Janzén, E., Switching performance for fabricated and simulated 4H-SiC high power bipolar transistors, proceedings of the International Semiconductor Device Research Symposium, 2001, p. 5, Washington D.C., USA.

C34. Danielsson, E., Zetterling, C.-M., Liu, W., and Östling, M., Optical and electrical characterization, and physical device simulation of high voltage high temperature silicon carbide bipolar transistors, First Scandinavian conference on cooling of electronics (ScandTherm 2002), 2002, Stockholm, Sweden.

C35. Lee, S.-K., Zetterling, C.-M., Östling, M., Åberg, I., Magnusson, M. H., Deppert, K., Wernersson, L.-E., Samuelson, L., and Litwin, A., Influence of size-selected Au nano-particles in titanium Schottky contacts on silicon carbide, 7th International conference on Nanometer-scale Science and Technology (Nano-7), 2002, Malmö, Sweden.

C36. Lee, S.-K., Uneus, L., Koo, S.-M., Zetterling, C.-M., Ekedahl, L.-G., Lundström, I., Lloyd Spetz, A., and Östling, M., Comparison study of Ohmic contacts in oxidizing ambient at high temperature for gas sensor applications, TMS Electronic Materials Conference (EMC), 2002, Santa Barbara, USA.

C37. Liu, W., Danielsson, E., Zetterling, C.-M., and Östling, M., Electro-thermal investigation of high power silicon carbide transistors, 4th International Symposium on High Density Packaging and Component Failure Analysis in Electronics Manufacturing (HDP 02), 2002, p. 175, Shanghai, China.

C38. Östling, M., Koo, S.-M., Lee, S.-K., Danielsson, E., and Zetterling, C.-M., Recent advances and issues in SiC process and device technologies, Proc. 6th IEEE Int. Conf. Solid-State and Integrated-Circuit Technology (ICSICT), 2001, Shanghai, China, 2. p. 1173.

C39. Östling, M., Koo, S.-M., Lee, S.-K., Danielsson, E., Domeij, M., and Zetterling, C.-M., SiC device technology for high voltage and RF power applications, Proc. 23rd IEEE Int. Conf. Microelectronics (MIEL), 2002, Nis, Yugoslavia, 1, p. 31.

C40. Koo, S.-M., Domeij, M., Zetterling, C.-M., and Östling, M., Static and dynamic characteristics of junction field effect transistors in 4H silicon carbide, Proc. Nordic Workshop on Power and Industrial Electronics (NORPIE), 2002, Stockholm, Sweden.

C41. Danielsson, E., Domeij, M., Zetterling, C.-M., and Östling, Modeling of high voltage SiC bipolar transistors for switch applications, Proc. Nordic Workshop on Power and Industrial Electronics (NORPIE), 2002, Stockholm, Sweden.

C42. Koo, S.-M., Domeij, M., Zetterling, C.-M., Östling, M., Forsberg, U., and Janzén, E., Simulation and measurement of switching characteristics of 4H-SiC buried-gate JFETs, ECSCRM 2002.

C43. Liu, W., Danielsson, E., Zetterling, C.-M., and Östling, M., Electro-thermal simulations and measurement of silicon carbide bipolar transistors, ECSCRM 2002.

C44. Domeij, M., Danielsson, E., Liu, W., Zimmermann, U. Zetterling, C.-M., and Östling, M., Measurements and simulations of self-heating and switching with 4H-SiC power BJTs, Proceedings of the 15th International Symposium on Power Semiconductor Devices and Ics (ISPSD 2003), p. 375, 2003.

C45. Liu, W., Zetterling, C.-M., Östling, M., Eriksson, J., Rorsman, N. and Zirath, H., High Frequency Measurements and Simulations of SiC MESFETs up to 250°C, ICSCRM 2003.

C46. Koo, S.-M., Zetterling, C.-M., Lee, H.-S., and Östling, M., SiC JMOSFETs for High-Temperature Stable Circuit Operation, ICSCRM 2003.

C47. Lee, H.-S., Koo, S.-M., Zetterling, C.-M., Danielsson, E., Domeij, M., and Östling, M., Simulation study of 4H-SiC Junction-gated MOSFETs from 300 K to 773 K, ICSCRM 2003.

C48. Danielsson, E., Domeij, M., Zetterling, C.-M., Östling, M., and Schöner, A., Extrinsic base design of SiC bipolar transistors, ICSCRM 2003.

C49. Liu, W., Zetterling, C.-M., Östling, M., Eriksson, J., Rorsman, N. and Zirath, H., Characterization of SiC HF-Power MESFETs up to 250°C, GigaHertz 2003, Linköping, Sweden, Nov. 2003.

C50. Danielsson, E., Zetterling, C.-M., and Östling, M., A High Frequency SiC Bipolar Transistor Design Optimization Using Process and Device Simulations, GigaHertz 2003, Linköping, Sweden, Nov. 2003.

C51. Koo, S.-M., Khartsev, S. I., Zetterling, C.-M., Grishin, A. M., and Östling, M., Characteristics of PZT/Al2O3 stack on SiC demonstrated in a NVFET, 34th IEEE Semicondctor Interface Specialists Conference, 2003, Washington D.C., USA.

C52. Koo, S.-M., Zetterling, C.-M., Östling, M., Khartsev, S. I., and Grishin, A. M., Multifunction Integration of Junction-MOSFETs and Nonvolatile FETs on a Single 4H-SiC Substrate for 300°C Operation, Proc. IEEE International Electron Devices Meeting (IEDM) 2003, p. 575, Washington D.C., USA.

C53. Liu, W., Zetterling, C.-M., and Östling, M., Thermal-Issues for Design of High Power SiC MESFETs, presented at Proc, 6th IEEE Int. Symp on high Density Packaging and Component Failure Analysis in Electronics Manufacturing (HDP' 04), Shanghai, China, 2004.

C54. Domeij, M., Danielsson, E., Lee, H.-S., Zetterling, C.-M., and Östling, M., Current Gain of 4H-SiC Bipolar Transistors Including the Effect of Interface States, ECSCRM 2004.

C55. Lee, H.-S., Domeij, M., Danielsson, E., Zetterling, C.-M., and Östling, M., Electrical Characteristics of 4H-SiC BJTs at Elevated Temperatures, ECSCRM 2004

C56. Danielsson, E., Domeij, M., Lee, H.-S., Zetterling, C.-M., Östling, M., Schöner, A., and Hallin, C., A 4H-SiC BJT with an Epitaxially Regrown Extrinsic Base Layer, ECSCRM 2004

C57. Domeij, M., Lee, H.-S., Zetterling, C.-M., Östling, M. and Schöner, A., SiC power bipolar junction transistors - Modeling and improvement of the current gain, 11th European Conference on Power Electronics and Applications, 2005, Dresden, Germany.

C58. Domeij, M., Lee, H.-S., Zetterling, C.-M., Östling, M. and Schöner, A., Current gain dependence on emitter width in 4H-SiC BJTs, ICSCRM 2005.

C59. Lee, H.-S., Domeij, M., Zetterling, C.-M., and Östling, M., Investigation of TiW contacts to 4H-SiC Bipolar Junction Devices, ICSCRM 2005.

C60. Zetterling, C.-M., Liu, W., and Östling, M., Thermal Modeling of Multi-finger SiC Power MESFETs, International Semiconductor Device Research Symposium (ISDRS), 2005, Bethesda, USA.

C61. Domeij, M., Lee, H.-S., Zetterling, C.-M., Östling, M. and Schöner, A., High current gain silicon carbide bipolar transistors, ISPSD 2006, pp. 141-144, 2006.

C62. Lee, H.-S., Domeij, M., Zetterling, C.-M., and Östling, M., A comparative study of surface passivation on SiC BJTs with high current gain, ECSCRM 2006.

C63. Lee, H.-S., Domeij, M., Zetterling, C.-M., and Östling, M., Power 4H-SiC Bipolar Junction Transistors with high current gain, ECSCRM 2006.

C64. Östling, M., Lee, H.-S., Domeij, M., and Zetterling, C.-M., Silicon carbide devices and processes - present status and future perspective, International Conference on Mixed Design of Integrated Circuits and Systems, MIXDES 2006.

C65. Domeij, M., Lee, H.-S., Zetterling, C.-M., and Östling, M., Analysis of the base current and saturation voltage in 4H-SiC power BJTs, 2007 European Conference on Power Electronics and Applications, p. 3263, 2007.

C66. Ghandi, R., Lee, H.-S., Domeij, M., Zetterling, C.-M., and Östling, M., Simultaneous study of nickel based ohmic contacts to Si-face and C-face of n-type silicon carbide, p. 672, ISDRS 2007.

C67. Lee, H.-S., Domeij, M., Zetterling, C.-M., Östling, M., Heinze, B., and Lutz, J. Influence of the base contact on the electrical characteristics of SiC BJTs, 19th ISPSD, p. 153, 2007.

C68. Ghandi, R., Lee, H.-S., Domeij, M., Zetterling, C.-M., and Östling, M., Backside Nickel Based Ohmic Contacts to n-type Silicon Carbide, ICSCRM 2007.

C69. Eriksson, K.G.P., Domeij, M., Lee, H.-S., Zetterling, C.-M., Östling, M., A Simple and Reliable Electrical Method for Measuring the Junction Temperature and Thermal Resistance of 4H-SiC Power Bipolar Junction Transistors, ICSCRM 2007.

C70. Lee, H.-S., Domeij, M., Zetterling, C.-M., Ghandi, R., Östling, M., F. Allerstam, A., and Sveinbjörnsson, E. Ö., 1200 V 4H-SiC BJTs with a Common Emitter Current Gain of 60 and Low On-resistance, ICSCRM 2007.

C71. Ghandi, R., Lee, H.-S., Domeij, M., Buono, B., Zetterling, C.-M., and Östling, M., Implantation-Free Low on-resistance 4H-SiC BJTs with Common-Emitter Current Gain of 50 and High Blocking Capability, ESCRM 2008.

C72. Buono, B., Lee, H.-S., Domeij, M., Zetterling, C.-M., and Östling, M., Simulations of open emitter breakdown voltage in SiC BJTs with non implanted JTE, ECSCRM 2008.

C73. Ghandi, R., Domeij, M., Esteve, R., Buono, B., Schöner, A., Han, J., Dimitrijev, S., Reshanov, S.A., Zetterling, C.-M., and Östling, M., Experimental evaluation of different passivation layers on the performance of 3kV 4H-SiC BJTs, ICSCRM 2009.

C74. Esteve, R., Schöner, A., Reshanov, S.A., and Zetterling, C.-M., Comparative study of thermal oxides and post-oxidized deposited oxides on n-type free standing 3C-SiC, ICSCRM 2009.

C75. Buono, B., Ghandi, R., Domeij, M., Malm, G., Zetterling, C.-M., and Östling, M., Temperature Modeling and Characterization of the Current Gain in 4H-SiC Power BJTs, ICSCRM 2009.

C76. Esteve, R.,Lorenzzi, J., Reshanov, S.A.,Jegenyes, N. Schöner, A., Ferro, G. and Zetterling, C.-M., Electrical properties of MOS structures based on 3C-SiC(111) epilay,ers grown by Vapor-Liquid-Solid and Chemical-Vapor-Deposition mechanisms on 6H-SiC(0001), American Institute of Physics Conference Proceedings, vol.1292, p.55-58, 2010.

C77. Esteve, R., Schöner, A., Reshanov, S. A., Zetterling, C.-M., and Nagasawa, H., Optimization of Poly-Silicon Process for 3C-SiC Based MOS Devices, Material Research Society Symposium Proceedings, vol.1246, p.115, 2010.

C78. Buono, B., Ghandi, R., Domeij, M., Malm, B.G., Zetterling, C.-M., and Östling, M., Current Gain Degradation in 4H-SiC Power Bipolar Junction Transistors, ECSCRM 2010.

C79. Ghandi, R., Buono, B., Domeij, M., Zetterling, C.-M., and Östling, M., High Voltage, Low On-resistance 4H-SiC BJTs with Improved Junction Termination Extension, ECSCRM 2010.

C80. Lanni, L., Ghandi, R., Domeij, M., Zetterling, C.-M., Malm, B.G., and Östling, M., Measurements and simulations of lateral PNP transistor in a SiC NPN BJT technology for high temperature integrated circuits, ECSCRM 2010.

C81. Ghandi, R., Buono, B., Domeij, M., Shayestehaminzadeh, S., Zetterling, C.-M., and Östling, M., Influence of crystal orientation on the current gain in 4H-SiC BJTs, Device Research Conference DRC 2010, p. 131, 2010.

C82. Lanni, L., Ghandi, R., Zetterling, C.-M., Malm, B. G., and Östling, M., Bipolar integrated OR-NOR gate in 4H-SiC, ICSCRM 2011.

C83. Buono, B., Ghandi, R., Domeij, M., Malm, B. G., Zetterling, C.-M., and Östling, M., Investigation of current gain degradation in 4H-SiC power BJTs, ICSCRM 2011.

C84. Ahn, J.-J., Lee, J.-H., Hallén, A., Zetterling, C.-M., Bahng, W., Kim, N.-K., and Koo, S.-M., Local Anodic Oxidation of Phosporous-Implanted 4H-SiC by Atomic Force Microscopy, ICSCRM 2011.

C85. Kang, M.-S., Lee, J.-H., Hallén, A., Zetterling, C.-M., Bahng, W., Kim, N.-K., and Koo, S.-M., Metal Work-Function and Doping-Concentration Dependent Barrier Height of Ni-Contacts to 4H-SiC with Metal-Embedded Nano-Particles, ICSCRM 2011.

C86. Kang, M.-S., Lee, J.-H., Hallen, A., Zetterling, C.-M., and Koo, S.-M., Effect of annealing temperature on the barrier height of nano-particle embedded Ni-contacts to 4H-SiC, ISDRS 2011.

C87. Elahipanah, H., Salemi, A., Buono, B., Zetterling, C.-M., Östling, M., Process Variation Tolerant 4H-SiC Power Devices Utilizing Trench Structures, ECSCRM 2012.

C88. Kang, M.-S., Lee, J.-H., Hallen, A., Zetterling, C.-M., and Koo, S.-M., Top-Down Fabrication of 4H-SiC Nano-channel Field Effect Transistors, ECSCRM 2012.

C89. Salemi, A., Elahipanah, H., Buono, B., Zetterling, C.-M., Östling, M., Area-Optimized JTE for 4.5 kV Non Ion-Implanted 4H-SiC BJT, ECSCRM 2012.

C90. Lanni, L., Ghandi, R., Zetterling, C.-M., Malm, B. G., and Östling, M., High-temperature characterization of 4H-SiC bipolar Darlington transistors for low voltage applications, ECSCRM 2012.

C91. Lanni, L., Malm, B. G., Zetterling, C.-M., and Östling, M., A 4H-SiC Bipolar Technology for High-temperature Integrated Circuits, IMAPS HiTEN 2013.

C92. Suvanam, S.S., Lanni, L., Zetterling, C.-M., Hallén, A., and Malm, B.G., Effects of 3 MeV protons on 4H-SiC bipolar devices and integrated OR-NOR gate, RADECS 2013.

C93. Smedfors, K., Lanni, L., Östling, M., and Zetterling, C.-M., Stable Ohmic Nickel/Titanium/Aluminium Contacts to 4H-SiC Characterized from -40°C to 500°C, ICSCRM 2013.

C94. Kang, M.-S., Hallén, A., Zetterling, C.-M., and Koo, S.-M., High-Temperature and High-Power Operation of 4H-SiC Nanoribbon Field Effect Transistors, ICSCRM 2013.

C95. Salemi, A., Buono, B., Hallén, A., Hassan, J., Bergman, J.P., Zetterling, C.-M., and Östling, M., Fabrication of 10 kV PiN Diodes Using On-Axis 4H-SiC, ICSCRM 2013.

C96. Xia, J.-H., Martin, D., Suvanam, S.S., Zetterling, C.-M., and Östling, M., Characterization of LaxHfyO Gate Dielectrics in 4H-SiC MOS Capacitor, ICSCRM 2013.

C97. Lanni, L., Malm, B. G., Östling, M., and Zetterling, C.-M., SiC Etching and Sacrificial Oxidation Effects on the Performance of 4H-SiC BJTs, ICSCRM 2013.

C98. Salemi, A., Buono, B., Hallen, A., Ul Hassan, J., Bergman, P., Zetterling, C.-M., and Östling, M., Design, Fabrication and Characterization of High Voltage PiN Diodes Using On-Axis 4H-SiC, Materials Research Society Spring Meeting (DD), 2014.

C99. Kang, M.-S., Zetterling, C.-M., Hallen, A., and Koo, S.-M., Dual-Gated Silicon Carbide Nanoribbon Transistors for Sensor Applications, Materials Research Society Spring Meeting (DD), 2014.

C100. Malm, B. G., Lanni, L., and Zetterling, C.-M., Integrated Silicon Carbide Bipolar Technology for Radio Frequency Applications, Materials Research Society Spring Meeting (DD), 2014.

C101. Tian, Y., Rusu, A., and Zetterling, C.-M., Silicon Carbide Fully-Differential Amplifier for Data Acquisition System at High Temperature, Proceedings of IEEE Swedish System-on-Chip Conference (SSoCC), Sweden, May. 2014. (Best student presentation award)

C102. Lanni, L., Malm, B. G., Östling, M., and Zetterling, C.-M., ECL-based SiC logic circuits for extreme temperatures, ECSCRM 2014.

C103. Suvanam, S.S., Martin, D., Zetterling, C.-M., and Hallen, A., Tailoring the interface between dielectric and 4H-SiC by ion implantation, ECSCRM 2014.

C104. Kim, S.-S., Kang, M.-S., Hallen, A., Zetterling, C.-M., Jung, E.-S., Yang, C.-H., Choo, K.-N., Cho, M.-S., Koo, S.-M., The Effect of Neutron Radiation on the Electrical Characteristics of 4H-SiC DMOSFETs, ECSCRM 2014.

C105. Smedfors, K., Zetterling, C.-M., Östling, Sputtered Ohmic Cobalt Silicide Contacts to 4H-SiC, ECSCRM 2014.

C106. Kargarrazi, S., Lanni, L., and Zetterling, C.-M., Design and Characterization of 500 °C Schmitt Trigger in 4H-SiC, ECSCRM 2014.

C107. Salemi, A., Elahipanah, H., Zetterling, C.-M., and Östling, M., Simulation study of the breakdown voltage in high voltage 4H-SiC BJT with respect to oxide and interface charges, ECSCRM 2014.

C108. Elahipanah, H., Salemi, A., Zetterling, C.-M., and Östling, M., Implantation-Free 4H-SiC Bipolar Junction Transistor with Trenched Junction Termination Extension, ECSCRM 2014.

C109. Salemi, A., Elahipanah, H., Malm, G., Zetterling, C.-M., and Östling, M., Area- and Efficiency-Optimized Junction Termination for a 5.6 kV SiC BJT Process with Low On-Resistance, ISPSD 2015.

C110. Salemi, A., Elahipanah, H., Hallén, A., Malm, G., Zetterling, C.-M., and Östling, M., Conductivity Modulated On-Axis 4H-SiC 10+ kV Pin Diodes, ISPSD 2015.

C111. Kargarrazi, S., Lanni, L., Rusu, A., and Zetterling, C.-M., A Monolithic SiC Drive Circuit for SiC Power BJTs, ISPSD 2015.

C112. Tian, Y., Lanni, L., Rusu, A., and Zetterling, C.-M., A 500 °C Monolithic SiC BJT Latched Comparator, ICSCRM 2015.

C113. Nagatsuma, H., Kuroki, S.-I., De Silva, M., Ishikawa, S., Maeda, T., Sezaki, H., Kikkawa, T., Östling, M., and Zetterling, C.-M., 4H-SiC nMOSFETs with As-doped S/D and NbNi silicide ohmic contacts, ICSCRM 2015.

C114. Salemi, A., Elahipanah, H., Zetterling, C.-M., and Östling, M., Geometrical Effect Dependency on the On-State Characteristics in 5.6 kV 4H-SiC BJTs, ICSCRM 2015.

C115. Elahipanah, H., Salemi, A., Zetterling, C.-M., and Östling, M., Modification of Etched Junction Termination Extension for the High Voltage 4H-SiC Power Devices, ICSCRM 2015.

C116. Kuroki, S.-I., Nagatsuma, H., De Silva, M., Ishikawa, S., Maeda, T., Sezaki, H., Kikkawa, T., Makino, T., Ohshima, T., Östling, M., and Zetterling, C.-M., Characterization of 4H-SiC nMOSFETs in Harsh Environments; High-Temperature and High Gamma-Ray Radiation, ICSCRM 2015.

C117. Lee, T., Kang, M.-S., Hallen, A., Zetterling, C.-M., and Koo, S.-M., Organosilane-functionalized 4H-SiC Test Device Structures for Biomedical Applications, ICSCRM 2015.

C118. Hedayati, R., Lanni, L., Rusu, A., and Zetterling, C.-M., High Temperature Integrated Amplifier in Bipolar 4H-SiC, Materials Research Society Spring Meeting (EP2), 2016.

C119. Hedayati, R., Lanni, L., Rusu, A., and Zetterling, C.-M., Wide temperature range integrated amplifier in bipolar 4H-SiC technology, ESSDERC, 2016.

C120. Östling, M., Salemi, A., Elahipanah, H., and Zetterling, C.-M., State of the art power switching devices in SiC and their applications, 2016 IEEE Silicon Nanoelectronics Workshop (SNW), 2016.

C121. Hou, S., Hellström, P.-E., Zetterling, C.-M., and Östling, M., 4H-SiC PIN Diode as High Temperature Multifunction Sensor, ECSCRM 2016.

C122. Hedayati, R., Lanni, L., Shakir, M., and Zetterling, C.-M., High Temperature Integrated Frequency Divider in SiC, ECSCRM 2016.

C123. Salemi, A., Elahipanah, H., Zetterling, C.-M., and Östling, M., 15 kV-Class Implantation-Free 4H-SiC PiN Diodes, ECSCRM 2016.

C124. Suvanam, S.S., Lanni, L., Malm, B.G., Zetterling, C.-M., and Hallén, A., Total Dose Effects on 4H-SiC Bipolar Junction Transistor, ECSCRM 2016.

C125. Kuroki, S.-I., Nagatsuma, H., Kurose, T., Ishikawa, S., Maeda, T., Sezaki, H., Kikkawa, T., Makino, T., Ohshima, T., Östling, M., and Zetterling, C.-M., 4H-SiC Pseudo-CMOS Logic Inverters for Harsh Environment Electronics, ECSCRM 2016.

C126. Lee, T., Hallen, A., Zetterling, C.-M., Jung, E.-S., Yang, C.-H., Kang, Y.-H, and Koo, S.-M., Improved Sensitivity of 4H-SiC JFET based Biosensor Applications by Channel Modulation, ECSCRM 2016.

C127. Colmenares, J., Kargarrazi, S., Elahipanah, E., Nee, H.-P., and Zetterling, C.-M., High-temperature passive components for extreme environments, 2016 IEEE 4th Workshop on Wide Bandgap Power Devices and Applications (WiPDA), p. 271, 2016.

C128. Salemi, A., Elahipanah, H., Zetterling, C.-M., and Östling, M., Conductivity Modulated Ultra-High Voltage Implantation-Free 4H-SiC PiN Diodes, ICSCRM 2017.

C129. Shakir, M., Elahipanah, H., Hedayati, R., and Zetterling, C.-M., Electrical Characterization of Integrated 2-Input TTL NAND Gate at Elevated Temperature, Fabricated in Bipolar SiC-Technology, ICSCRM 2017.

C130. Kurose, T., Kuroki, S.-I., Ishikawa, S., Maeda, T., Sezaki, H., Makino, T., Ohshima, T., Östling, M., and Zetterling, C.-M., Low-Parasitic-Capacitance Self-Aligned 4H-SiC nMOSFETs for Harsh Environment Electronics, ICSCRM 2017.

C131. Kajihara, J., Kuroki, S.-I., Ishikawa, S., Maeda, T., Sezaki, H., Makino, T., Ohshima, T., Östling, M., and Zetterling, C.-M., 4H-SiC pMOSFETs with Al-Doped S/D and NbNi Silicide Ohmic Contacts, ICSCRM 2017.

C132. Ekström, M., Hou, S., Elahipanah, H., Salemi, A., Östling, M., and Zetterling, C.-M., Low Temperature Ni-Al Ohmic Contacts to P-Type 4H-SiC Using Semi-Salicide Processing, ICSCRM 2017.

C133. Fuglesang, C., Zetterling, C.-M., and Wilson, C.F., Venus Long-Life Surface Package (VL2SP), 68th International Astronautical Congress (IAC), Adelaide, Australia, 25-29 September 2017.

C134. Fuglesang, C., Zetterling, C.-M., and Östling, M., Working on Venus and Beyond – SiC Electronics for Extreme Environments, 68th International Astronautical Congress (IAC), Adelaide, Australia, 25-29 September 2017.

C135. Hou, S., Hellström, P.-E., Zetterling, C.-M., and Östling, M., High Temperature High Current Gain IC Compatible Phototransistor, ECSCRM 2018.

C136. Inoue, J., Kuroki, S.-I., Ishikawa, S., Maeda, T., Sezaki, H., Makino, T., Ohshima, T., Östling, M., and Zetterling, C.-M., 4H-SiC Trench pMOSFETs for High-Frequency CMOS Inverters, ECSCRM 2018.

C137. Shakir, M., Hou, S., and Zetterling, C.-M., A Monolithic 500 °C D-flip flop Realized in Bipolar 4H-SiC TTL Technology, ECSCRM 2018.

C138. Ishii, T., Kuroki, S.-I., Sezaki, H., Ishikawa, S., Maeda, T., Makino, T., Ohshima, T., Östling, M., and Zetterling, C.-M., Suppression of Short-Channel Effects in 4H-SiC Trench MOSFETs, ECSCRM 2018.

C139. Hou, S., Shakir, M., Hellström, P.-E., Zetterling, C.-M., and Östling, M., Process Control and Optimization of 4H-SiC Semiconductor Devices and Circuits, 2019 Electron Devices Technology and Manufacturing Conference (EDTM), 2019.

C140. Kargarrazi, S., Elahipanah, H., Tong, Z., Senesky, D. and Zetterling, C.-M., 500°C SiC-based driver IC for SiC power MOSFETs, 31st International Symposium on Power Semiconductor Devices and ICs (ISPSD), 2019.

C141. Rashid, A.U., Hossain, M.M., Roy, S., Metreveli, A., Mantooth, H.A., and Zetterling, C.-M., Numerical Simulation Model Development and Comparative Analysis of Low-voltage SiC BJT for Compact Modeling, IEEE 7th Workshop on Wide Bandgap Power Devices and Applications (WiPDA), 2019.

C142. Ekström, M., Malm, B.G., and Zetterling, C.-M., Ultrafast pulsed I-V and charge pumping interface characterization of low-voltage n-channel SiC MOSFETs, ICSCRM 2019.